Welcome![Sign In][Sign Up]
Location:
Search - fpga LCD

Search list

[VHDL-FPGA-VerilogVHDL_1602

Description: 显示LCD,采用VHDL语言编写,基于1602的显示模块-Display LCD, using VHDL language, based on the 1602 display module
Platform: | Size: 1594368 | Author: lk | Hits:

[Othercore8051_lcd1602

Description: 基于Fusion系列AFS600的FPGA的51核,在板子上试过,可以对51核写1602的显示程序,就可以显示了,也可以写其他的51程序。-AFS600-based Fusion Series of FPGA 51 nuclear, tried on the board, you can write 1602 on 51 of the display of the nuclear program, we can show that we can process other 51.
Platform: | Size: 14685184 | Author: 冰鸟 | Hits:

[VHDL-FPGA-Veriloghdl

Description: 用Actel公司的Fusion系列FPGA开发的LCD实验程序-Fusion with Actel s FPGA development series LCD Experimental procedures
Platform: | Size: 3072 | Author: 毕京鹏 | Hits:

[VHDL-FPGA-VerilogMTDB_SYSTEM_CD_V1.0

Description: ALTERA Nios II Embedded Evaluation Kit开发板制造商(terasic)提供的多媒体显示板(Terasic Multimedia Touch Panel Daughter Board (MTDB))扩展开发包。 里为有两个开源的例子 1.MTDB_SD_Card_Audio,从SD卡中读取WAV文件然后通过DA播放,这个对不SD Card的初学者非常的有用,可以知道使用FPGA SPI来读写SD CARD。 2.MTDB_Systhesizer,使用FPGA来做电子琴,要用FPGA来做合成器的看这个。 国内部分地区的网络对TERASIC封杀,原因不明,这个包是使用代理下载的,非常不容易。-ALTERA Nios II Embedded Evaluation Kit development board manufacturers (terasic) to provide multi-media display boards (Terasic Multimedia Touch Panel Daughter Board (MTDB)) the expansion of the development package. Where for example there are two open source 1.MTDB_SD_Card_Audio, from the SD card and then read the WAV file to play through the DA, the SD Card for the beginner is not very useful, we can see that the use of FPGA SPI read and write to SD CARD. 2.MTDB_Systhesizer, the use of FPGA as organ, synthesizer use FPGA to do the look at this. Internal parts of the network to block TERASIC for reasons unknown, the package is downloaded using a proxy, is not easy.
Platform: | Size: 27464704 | Author: myfingerhurt | Hits:

[VHDL-FPGA-Verilogkp_lcd

Description: This is Keypad and LCD interface C code Tested on Sparton 3 xilinx FPGA.
Platform: | Size: 2048 | Author: bhagwan | Hits:

[VHDL-FPGA-Verilogkp_uart

Description: This UART and LCD interface C code Tested on Sparton 3 xilinx FPGA.-This is UART and LCD interface C code Tested on Sparton 3 xilinx FPGA.
Platform: | Size: 3072 | Author: bhagwan | Hits:

[OtherLCM

Description: Stn8060d_2彩色液晶显示控制器使用说明,课实现单片机或FPGA控制。-Color LCD controller Stn8060d_2 the use of that class to achieve control of single-chip or FPGA.
Platform: | Size: 9216 | Author: 喜相逢 | Hits:

[VHDL-FPGA-VerilogLCD

Description: 利用FPGA和硬件描述语言来控制字符型液晶显示器的读写-The use of FPGA and hardware description language to control the read and write character LCD display
Platform: | Size: 2669568 | Author: qinkui | Hits:

[SCMLCD_test

Description: 基于SOPC的LCD编码,包括程序源码与测试码还有顶层文件码-sopc-based LCDcode,include lcdcontrul and lcdtest and lcdtop and lcddeplay
Platform: | Size: 4096 | Author: 马旭霞 | Hits:

[VHDL-FPGA-VerilogTFT-TGB-CONTROL

Description: TFT-LCD-RGB的控制驱动显示程序-LCD_RGB CONTROL
Platform: | Size: 4141056 | Author: shiquan | Hits:

[Software EngineeringLCD-Drive-and-control-based-on-NIOSII

Description: 本文介绍了一种基于NIOS II软核处理器实现对LCD-LQ057Q3DC02控制的新方法。在设计中利用FPGA的Altera的SOPC Builder定制NIOS II软核处理器及其与显示功能相关的“软” 硬件模块来协同实现显示控制的软硬件设计。利用SOPC技术,将NIOS II CPU和LCD控制器放在同一片FPGA中,解决了通常情况下必须使用LCD 控制专用芯片才能解决LCD显示的问题。-This article describes an approach based on NIOS II soft-core processors to achieve control of the LCD-LQ057Q3DC02 new method. The use of FPGA in the design of Altera' s SOPC Builder Custom NIOS II soft-core processor and its display-related " soft" hardware modules to achieve synergistic display control hardware and software design. Using SOPC technology, NIOS II CPU and LCD controller on the same FPGA, the solution to the normally must use the LCD control ASIC in order to solve the problem of LCD display.
Platform: | Size: 67584 | Author: shiquan | Hits:

[VHDL-FPGA-Verilogcounter

Description: 用Verilog HDL语言实现FPGA的频率等精度测量。(已经过验证)-Using Verilog HDL language, such as FPGA frequency measurement accuracy. (Has already been verified)
Platform: | Size: 2582528 | Author: double | Hits:

[Otherlcd

Description: 键盘控制LCD显示电流与电压,并可以与FPGA进行通信-Keyboard to control current and voltage LCD display and can communicate with the FPGA
Platform: | Size: 2048 | Author: 王亮 | Hits:

[VHDL-FPGA-Veriloglcd

Description: 基于fpga的lcd显示模块vhdl描述,只需修改相关参数即可使用-Fpga based on the lcd display module vhdl description, simply modify the relevant parameters to use
Platform: | Size: 1024 | Author: 郭帅 | Hits:

[VHDL-FPGA-VerilogExample

Description: Nanny Fpga开发板的正版测试程序,内含lcd1602液晶控制驱动程序,Ad9201模数转换器和AD5440数模转换器的驱动控制程序-Nanny Fpga Development Board of the Genuine test procedures, including control lcd1602 LCD driver, Ad9201 ADC and DAC AD5440 driver control procedures
Platform: | Size: 135168 | Author: zhijun | Hits:

[OtherFPGALcd1602

Description: lcd1602 fpga 驱动 液晶1602的FPGA 驱动,VHDL编写-lcd 1602 fpga driver
Platform: | Size: 3072 | Author: zrc | Hits:

[VHDL-FPGA-Verilogdengjingdu

Description: 根据第三届(1997年)全国大学生电子设计竞赛题目:简易数字频率计,完全用FPGA芯片做的一个等精度数字频率计。-According to the third (1997) National Undergraduate Electronic Design Contest Topic: simple digital frequency meter, complete with a FPGA chip, such as doing precision digital frequency meter.
Platform: | Size: 3051520 | Author: song | Hits:

[VHDL-FPGA-Verilogps2

Description: 基于Xilinx Spartan3E的ps/2键盘接口,能够把键值传送到FPGA上并在LCD上显示-Xilinx Spartan3E based on the ps/2 keyboard interface, be able to send to the FPGA on the keys and LCD display
Platform: | Size: 506880 | Author: darkblue | Hits:

[VHDL-FPGA-VerilogCtl_LCD

Description: FPGA控制LCD代码,实测可用,仅供参考,如需转载请说明-FPGA control LCD code, measurement can be used for reference purposes only and for reprint please indicate
Platform: | Size: 595968 | Author: Sem | Hits:

[VHDL-FPGA-VerilogUART_LCD_DAC

Description: 利用RS232,FPGA和单片机通信,控制FPGA的LCD和da转化,产生模拟信号。FPGA部分-Using RS232, FPGA and single-chip communications, the control FPGA and the LCD into da generate analog signals. FPGA part
Platform: | Size: 925696 | Author: 雍振强 | Hits:
« 1 2 3 4 5 6 78 9 10 11 12 ... 28 »

CodeBus www.codebus.net